What?Does the chip still need to take a bath?

Author:High Energy Institute of the C Time:2022.06.20

The following article comes from WeChat public account: fruit shell hard technology, author Fu Bin

In the process of chip manufacturing, although the "cleaning" steps are inconspicuous, it accounts for more than 30%of the entire manufacturing process steps, and must not be ignored. So what is the development of domestic semiconductor cleaning equipment?

In this article, you will understand: Why use cleaning technology during the chip production process, what key technical points are there in cleaning equipment, and the market situation at home and abroad.

Fu Bin 丨 Author

Li Tuo 丨 Edit

Fruits Hard Technology 丨 Planning

Wash it if you are in trouble

The cleaning technology in semiconductors refers to the process of using physical or chemical methods before the semiconductor manufacturing procedures such as oxidation, light carvings, extension, diffusion, and lead evaporation.

Why can cleaning occupy more than 30%semiconductor manufacturing procedures? This is because chip production has a serious "cleanliness". In the era of nano -measurement in technology, you can't see anything dirty.

Pollutants are a major threat of the performance, reliability and finished product rate of semiconductor device. Studies have shown that the chip electrical invalidation caused by the defects caused by stains is as high as 80%[1]. If the pollutants fail to completely remove in the wafer manufacturing session, it will affect the yield rate of the wafer, and the severe chip and even the batches of wafer are scrapped. You know, a 1%change in yield changes to a advanced logic foundation means a profit loss of $ 150 million. [2]

Pollutants are usually in the form of atoms, ions, molecules, particles, or membranes. They exist in the surface of the silicon wafer or the oxidation film of the silicon wafer by chemical or physical adsorption.

Main pollutants and influence during semiconductor manufacturing, watchmaking 丨 fruit shell hard technology

Wash it with dirty things. From the gravel to the chip, the "Dianshi Core" will mainly experience several large processes of silicon wafer manufacturing, wafer manufacturing, chip packaging and chip testing. The cleaning runs through the chip manufacturing entire industry chain. Including three categories:

In the process of silicon wafer manufacturing: cleaning and polishing silicon wafers, ensuring the flatness and performance of the surface, and improving the yield of the subsequent process;

In the wafer manufacturing process: cleaning, etching, sedimentation, ion injection, gum, etc. are cleaned before and after, reduce the defect rate;

In the chip packaging process: TSV cleaning, UBM/RDL cleaning, key combined cleaning are performed according to the packaging process.

Cleaning steps through multiple process links in the chip manufacturing process, source 丨 Southern Wu Securities

How long is the development of chips, how long is the cleaning technology? As early as the semiconductor manufacturing industry in the 1950s, it began to pay attention to cleaning technology, and its importance will become higher and higher, and market demand will become larger and larger.

With the progress of process process, the number of light inscriptions and various processes has increased, and the number of cleaning steps has increased. According to SEMI statistics, there are about 100 steps in cleaning processes during the 80nm ~ 60nm process, and the cleaning process in the 20nm ~ 10nm process has increased to more than 200 steps. [3]

With the process of processing the process (unit: times), the source 丨 SEMI

Of course, cleaning technology is not harmless: On the one hand, some cleaning technology and chemical solvents will still cause damage or reaction to the surface of the electronic component. Manufacturers need to balance the capacity and cleaning technology to ensure electronics The quality of the component [4]; on the other hand, cleaning both water and a large amount of pollution. Water is an important medium required for the rinse process. During the cleaning process, a large amount of acid and alkali cleaning liquid will be discharged. The problem of water consumption and emissions is a problem that the entire chip manufacturing must face, but the use of water in the cleaning session and controlling sewage discharge are also a problem that equipment manufacturers must consider. [5]

Divided into dry cleaning and wetting

We are out of expected Wen Shengyi, thinking that cleaning is not difficult. In fact, cleaning is not simply walking in the water, but a real set of craftsmanship, which belongs to the category of advanced manufacturing equipment, which involves many physical and chemical issues.

Depending on the different cleaning medium, semiconductor cleaning is divided into wet cleaning and drying method.

Wet cleaning: Refers to the use of exfoliating water and chemical solvents, supplemented by physical methods such as ultrasonic, heating, and vacuum to clean the wafer surface, and then wet and dry to remove pollutants during the wafer manufacturing process. The chemical solution of the wet cleaning process is basically the same. The main difference between the different processes is the auxiliary method, and it is also the main difficulty of the wet cleaning process.

Common cleaning chemical solvents, data sources 丨 "China High -tech Enterprise" [6]

Dry method: refers to cleaning technology without chemical solvents. Although it can clean the pollutants a single, it is essential among logical products and storage products at 28nm and more advanced technology nodes.

Although 90%of the cleaning steps are wet cleaning technology, in semiconductor manufacturing, dry and wet method cannot replace each other in the short term, and develop in their respective fields.

The main cleaning methods and advantages and disadvantages of cleaning, data sources 丨 Shengmei prospectus

Different cleaning methods need to use the corresponding cleaning equipment.

Wet cleaning process is divided into slot cleaning equipment, single -piece cleaning equipment, combined cleaning equipment, etc. The threshold of the slot cleaning equipment is low, high production capacity, and good control. After the process process is advanced to 100nm, it is difficult for the traditional slot cleaning equipment to meet the process needs of high -precision process technology. Single -piece cleaning equipment is widely used, higher cleaning capabilities and efficiency, which can improve the uniformity of single wafers and different wafers, and there is no risk of cross -pollution, but it is difficult to achieve technology. In dozens of nanometer width grooves, the motion status of liquid molecules and the possibility of taking the particle objects, and the risk of liquid surface tension on graphics during drying. In addition, single -piece and slot cleaning can also appear. [7] The dry cleaning process mainly has plasma cleaning equipment, steam -state cleaning equipment, low -temperature spray cleaning equipment, and supercritical cleaning equipment. The cleaning intensity and cleaning efficiency of drying equipment are not as wet and cleaned, but it has less retention, no liquid surface tension, and is safe and environmentally friendly. At the same time It can play a huge role in advanced technology.

The types and characteristics of wet cleaning and dry method cleaning equipment, watchmaking 丨 fruit shell hard technology

Reference 丨 "Integrated Circuit Industry", Shengmei prospectus

Dilemma of domestic players

There are eleven categories of semiconductor manufacturing equipment, including optical engraving machines, process detection equipment, etching equipment, thin film deposition equipment, ion injection equipment, CMP equipment, cleaning equipment, oxidation and annealing equipment, other wafer manufacturing equipment nine types of front lane process technology Equipment, testing equipment, and packaging equipment two types of post -lane process equipment. Among them, cleaning equipment is indispensable.

In the overall manufacturing semiconductor equipment, the value of cleaning equipment is lower than other manufacturing equipment, about 5%to 6%. However, it will participate in all aspects of chip manufacturing. It is the stepping stalls of other tracks, and it is also a link that chip manufacturing is inseparable.

Under the dual influence of chip lack and the tide of chip, the market size of the cleaning equipment will continue to increase. According to MarketWatch, the scale of global semiconductor cleaning equipment in 2022 will reach US $ 5.591 billion, from 2028 to US $ 7.794 billion, with a compound annual growth rate of 5.7%. [8]

Similar to the optical carvings, the single price of the cleaning equipment is high and the gross profit margin is high. Taking Shengmei as an example, the unit price of its single -piece cleaning equipment exceeds 25 million yuan, and the gross profit margin is about 45%. [3]

From the perspective of international and domestic cleaning equipment, the Matthew effect is significant. Similar to the optical carvings, the localization rate of domestic cleaning equipment is not very high, but it is much optimistic than other types of semiconductor equipment. Data show that the localization rate of cleaning equipment has increased from 15%in 2015 to 20%in 2020. The localization rate of anti -tourist carving machines has been less than 1%. At the same time, the total of all types of domestic equipment accounts for only global semiconductor supply chain 5%. [9]

Domestic semiconductor equipment ’s localization rate and major enterprises, source 丨 Guohai Securities

The global semiconductor cleaning equipment market has a high concentration trend. According to Gartner data, DNS, Tel (Tokyo Electronics), Semes and Lam (Panlin Semiconductor) account for 45.1%, 25.3%, 14.8%, and 12.5%of the global semiconductor cleaning equipment market share in 2020, respectively. [10]

There are very few companies that can provide semiconductor cleaning equipment in China, mainly include Shengmei Semiconductor, Northern Huachuang, Xinwei Micro, and Pure Technology. The domestic shortcomings are mainly advanced wet cleaning equipment. DNS (Dienes), Tel (Tokyo Electronics), LAM (Panlin Semiconductor) and Semes have won 90%of the market share of single -piece cleaning equipment.

Semiconductor cleaning equipment at home and abroad, watch 丨 fruit shell hard technology

At present, all four domestic enterprises have the technology of cleaning equipment technology of 130Nm ~ 28nm, of which Shengmei Semiconductor has been under research on 7/5nm cleaning equipment technology.

The products and technology progress of major domestic cleaning enterprises, watchmaking 丨 fruit shell hard technology

The difficulty of domestic cleaning equipment is large, resulting in very few players in the track, nothing more than the following factors:

The materials and wafer manufacturers of semiconductor the most advanced process are binding in depth, and it will inevitably concentrate more and more over time [11];;

Market customers will choose mature equipment priority to reduce running -in time and opportunity costs, and reduce operating risks. Future advanceders must prove that they are difficult, especially in industries such as chip production costs;

In addition to the high technical barriers in the cleaning equipment itself, most of the supply of domestic core components needs to be imported. The localization of key materials is also a major heart disease;

Most of the technologies of the semiconductor industry have patents, and the post -developed enterprises will enter the range of infringement if they are not careful;

Semiconductor talents are seriously lacking, especially leading talents in upstream equipment. [12]

Semiconductor has always been a field with high technical barriers and mixed intellectual property rights. Under the operation of long -term market operations, the market will present only 1 to 2, up to 3 to 4 companies, and oligopoly manufacturers will take peak price measures and potential competition The game among the game between semiconductor cleaning. [13]

In addition, geopolitical frictions have become one of the unstable factors: In March 2022, the US Securities and Futures Commission (SEC) included the Shengmei semiconductor listed on Nasdaq and A shares on the "pre -delisting" list.

But no matter how difficult it is, there must be. Starting late in the semiconductor industry is the industry chaser. From the perspective of the posterity, manufacturing equipment is the most basic and most important link [14]. Similarly with the domestic optical carvings, domestic cleaning equipment is crucial. Experts believe that only if you have a powerful and controlled semiconductor equipment and material industry, you can get rid of the three aspects of intellectual property, ability level, and supply chain to get rid of the three aspects of intellectual property rights, capacity, and supply chain. The passive situation of human beings. [15] At present, although domestic industries have achieved certain development and progress on low -end cleaning equipment, the key and materials are still in a severe dependence on imports, and the security of supply chain is still a major problem. At the same time, the blank in the field of high -end cleaning equipment needs to be filled. [12]

References:

[1] Ping An Securities: Semiconductor cleaning equipment: The yield of the chip to ensure the wall, depending on the differentiation of domestic brand competition .2020.8.31.https: //pdf.dfcfw.com/pdf/h3_AP2020083114507199_1.pdf? 159899956000.pdf

[2] Financial Network: Big Fund Investment in the field of semiconductor cleaning! This company is expected to be a metrological leader? .2021.10.19.http: //m.caijing.com.cn/article/234139? Target = Blank

[3] SEMI Industry Investment Platform: From the rise of Shengmei, look at the development opportunities of the Chinese semiconductor cleaning equipment market in the new era! .2021.11.4.https: //mp.weixin.qq.com/s/hmxkdyamtejcy2epzbipsw

[4] Yang Danfeng. Application analysis of microelectronics technology cleaning technology [J]. Communication World, 2017, (23): 331-332.

[5] Ministry of Ecology and Environment: "Standard Semiconductor Device of Electronic Industry Pollutant Emissions" .https://www.mee.gov.cn/gkml/hbb/bgth/200910/W0200806204462906030.pdf

[6] Shu Fuyu. The development of the semiconductor silicon wafer cleaning process [J]. China High -tech Enterprise, 2007, (12): 96+99.

[7] Shengmei Semiconductor Equipment (Shanghai) Co., Ltd.: The first public offering of stocks and listing prospectus on the science and technology board .2021.11.12.https: //pdf.dfcfw.com/pdf/h2_an20211111528437549_1.pdf? 16366657388000.pdf

[8] Marketwatch:Semiconductor Wafer Cleaning Equipment Market Share, Size Global Future Prospects, Key Findings, Industry Demand, Regional Analysis, Key Players Profiles and Forecasts to 2028.2022.4.27.https://www.marketwatch.com/press-release/ Semiconductor-WAAR-CLEANING-EQUIPMENT-Market-size-size-

[9] SIA:STRENGTHENING THE GLOBAL SEMICONDUCTOR SUPPLY CHAIN ​​IN AN UNCERTAIN ERA.2021.4.https://www.semiconductors.org/wp-content/uploads/2021/05/BCG-x-SIA-Strengthening-the-Global- Semiconductor-Value-Chain-April-2021_1.pdf

[10] Anxin Securities: important guarantee for the yield of chip, semiconductor cleaning equipment country

Production replacement at the time .2021.11.17.https: //pdf.dfcfw.com/pdf/h3_ap2021111529678979_1.pdf? 1637229715000.pdf

[11] Guohai Securities: Shengmei Shanghai (688082) In -depth report:

The leader of semiconductor cleaning equipment, the platform strategy starts the new journey .2022.4.27.https: //pdf.dfcfw.com/pdf/h3_AP202204281562130616_1.pdf? 1651143487000.pdf

[12] Ma Lei. my country ’s semiconductor cleaning equipment’ s localization of the key component market research [J]. Modern Chemical, 2019,39 (04): 6-12+14. [13] Gao Hongye. Western economics [M]. Beijing. Beijing. Beijing : Renmin University of China Publishing House, 2018: 312.

[14] Wang Yangyuan. Innovation engraved the history of youth, exploring the future [J]. Science and Technology Herald, 2019,37 (03): 1.

[15] Meng Fansheng, Han Bing. Under the new situation, the research on the independent and controllable development of my country's defense technology industry [J]. Scientific management research, 2016,34 (02): 1-4.

This article is authorized to reprint from WeChat public account: fruit shell hard technology author: Fu Bin

Reprinted content only represents the author's point of view

It does not represent the high energy office of the Chinese Academy of Sciences

Edit: mngata



- END -

"Four New" is the engine, "counting wisdom" to empower!Qingdao played the "combination boxing" of the industrial Internet to benefit enterprises

Qingdao Daily/Guanhai News, June 16th. In recent years, under the wave of digital ...

Bad news!The 45 -year -old scientist died of a sudden illness rescue. He was the chief of the chief

On June 14th, the AI Four Little Dragons released analogy, and Sun Jian died in th...